菜单
  
    FPGA 软件设计

    FPGA 的软件设计由两部分组成,分别为编程语言和编程工具。编写 FPGA 程序的语言有 2 种,一个是 VHDL,还有一个是 Verilog。编写 FPGA 程序的工 具有两个大的厂商,分别是 Altera 和 Xilinx,它们生产的 QuartusⅡ和其他工具。 大致的设计录入方法有如表 2-1 几种。

    表 2-1 设计输入方式

    方式 方法

    HDL 既可以描述底层设计,也可以描述顶层的设计, 但它不容

    HDL 语言方式

    图形方式

    易做到较高的工作速度和芯片利用率。用这种方式描述的项目最 后所能达到的性能与设计人员的水平、经验以及综合软件有很大 的关系[17]。

    可以分为电路原理图描述,状态机描述和波形描述 3 种 形式。 电路原理图方式描述比较直观和高效,对综合软件的要求不高; 状态机描述主要用来设计基于状态机思想的时序电路;波形描述 方式是基于真值表的一种图形输入方式,直接描述输入与输出的 波形关系[18]

  1. 上一篇:单片机的火灾报警系统设计+电路图+程序
  2. 下一篇:变压器励磁涌流及其抑制措施研究
  1. 基于中频信号的家用治疗...

  2. 基于嵌入式技术的智能家...

  3. Matlab基于前馈控制的加热炉温度控制系统设计

  4. MEMS基于SHARC型DSP的组合导航算法实现

  5. 基于LabVIEW的车牌图像识别技术研究

  6. 基于LabVIEW和MATLAB混合编程...

  7. 基于DeepLearning的语音识别研究

  8. 当代大学生慈善意识研究+文献综述

  9. 电站锅炉暖风器设计任务书

  10. 河岸冲刷和泥沙淤积的监测国内外研究现状

  11. 中考体育项目与体育教学合理结合的研究

  12. 十二层带中心支撑钢结构...

  13. 杂拟谷盗体内共生菌沃尔...

  14. 乳业同业并购式全产业链...

  15. 酸性水汽提装置总汽提塔设计+CAD图纸

  16. java+mysql车辆管理系统的设计+源代码

  17. 大众媒体对公共政策制定的影响

  

About

751论文网手机版...

主页:http://www.751com.cn

关闭返回